跳至主要內容

相位与频率校正

Jia-Yin小于 1 分钟comm

目的

使用 Costas Loop 模块 校正接收信号的相位和频率偏移。

系统架构文件:Qpsk_stage5.grcopen in new window

步骤与说明

  1. 接续前一单元,在 Linear Equalizer 之后,加上 Costas Loop 来处理接收到的信号。
  2. 观察信号经过 Costas Loop 处理之后的星座图特性。

系统仿真

GNU Radio QPSK Tutorial
GNU Radio QPSK Tutorial
GNU Radio QPSK Tutorial
GNU Radio QPSK Tutorial

补充说明

Costas Loop

  • Costas Loop 模块适用于同步 BPSK、QPSK 和 8PSK 信号。
  • 使用二阶环路,可以同时追踪相位和频率 (相位的导数) 随时间的变化。
  • 使用情境需假设频率已接近理想范围,如果频率偏差过大,环路将无法收敛,导致相位不断旋转。

练习 6

仿真不同的频率偏移和环路带宽设置,观察 Costas Loop 在不同条件下的收敛过程。